投稿指南
一、来稿必须是作者独立取得的原创性学术研究成果,来稿的文字复制比(相似度或重复率)必须低于用稿标准,引用部分文字的要在参考文献中注明;署名和作者单位无误,未曾以任何形式用任何文种在国内外公开发表过;未一稿多投。 二、来稿除文中特别加以标注和致谢之外,不侵犯任何版权或损害第三方的任何其他权利。如果20天后未收到本刊的录用通知,可自行处理(双方另有约定的除外)。 三、来稿经审阅通过,编辑部会将修改意见反馈给您,您应在收到通知7天内提交修改稿。作者享有引用和复制该文的权利及著作权法的其它权利。 四、一般来说,4500字(电脑WORD统计,图表另计)以下的文章,不能说清问题,很难保证学术质量,本刊恕不受理。 五、论文格式及要素:标题、作者、工作单位全称(院系处室)、摘要、关键词、正文、注释、参考文献(遵从国家标准:GB\T7714-2005,点击查看参考文献格式示例)、作者简介(100字内)、联系方式(通信地址、邮编、电话、电子信箱)。 六、处理流程:(1) 通过电子邮件将稿件发到我刊唯一投稿信箱(2)我刊初审周期为2-3个工作日,请在投稿3天后查看您的邮箱,收阅我们的审稿回复或用稿通知;若30天内没有收到我们的回复,稿件可自行处理。(3)按用稿通知上的要求办理相关手续后,稿件将进入出版程序。(4) 杂志出刊后,我们会按照您提供的地址免费奉寄样刊。 七、凡向文教资料杂志社投稿者均被视为接受如下声明:(1)稿件必须是作者本人独立完成的,属原创作品(包括翻译),杜绝抄袭行为,严禁学术腐败现象,严格学术不端检测,如发现系抄袭作品并由此引起的一切责任均由作者本人承担,本刊不承担任何民事连带责任。(2)本刊发表的所有文章,除另有说明外,只代表作者本人的观点,不代表本刊观点。由此引发的任何纠纷和争议本刊不受任何牵连。(3)本刊拥有自主编辑权,但仅限于不违背作者原意的技术性调整。如必须进行重大改动的,编辑部有义务告知作者,或由作者授权编辑修改,或提出意见由作者自己修改。(4)作品在《文教资料》发表后,作者同意其电子版同时发布在文教资料杂志社官方网上。(5)作者同意将其拥有的对其论文的汇编权、翻译权、印刷版和电子版的复制权、网络传播权、发行权等权利在世界范围内无限期转让给《文教资料》杂志社。本刊在与国内外文献数据库或检索系统进行交流合作时,不再征询作者意见,并且不再支付稿酬。 九、特别欢迎用电子文档投稿,或邮寄编辑部,勿邮寄私人,以免延误稿件处理时间。

新思科技数字和定制设计平台获台积公司最新3纳

来源:电子设计工程 【在线投稿】 栏目:综合新闻 时间:2021-06-26 15:48
作者:网站采编
关键词:
摘要:加利福尼亚州山景城 2021 年 6 月 25 日-- 要点: ? Synopsys 的设计解决方案获得台积电最新的 3-纳米工艺技术DRM和SPICE模型认证? 基于Synopsys的Fusion Design Platform和Custom Design Platform设计平台

加利福尼亚州山景城 2021 年 6 月 25 日--

要点:

  • Synopsys 的设计解决方案获得台积电最新的 3-纳米工艺技术DRM和SPICE模型认证?
  • 基于Synopsys的Fusion Design Platform和Custom Design Platform设计平台,双方在支持先进设计领域合作,帮助客户通过台湾积家先进工艺技术,最大限度发挥PPA的优势?
  • 推荐方法的优势已经在联合客户的设计中得到验证,是否可以增加流片量? (流片)

Synopsys(Synopsys, Inc.,纳斯达克股票代码:SNPS)最近宣布,台积电已发布其 3 纳米 (nm) 工艺技术 (DRM) 的最新设计规则手册和流程设计工具包已经认证 Synopsys 的数字和定制设计解决方案。该认证源于双方多年的广泛合作,旨在提供共同优化的工具、流程和方法,帮助客户实现理想的功耗、性能和面积(PPA),从而加速高性能计算(HPC),移动、5G和AI芯片设计领域的下一代创新。

台积电设计架构管理部副总经理Suk Lee表示:“台积电的领先技术需要更高水平的EDA协作和创新,才能实现3nm工艺技术的高性能和低功耗。我们与新思科技的长期合作,提高了台积电最新制程技术的可及性,并将其优势发挥到极致。我们将继续密切合作,以实现下一代 HPC、移动、5G 和 AI 应用程序设计。”

Synopsys 高度集成的融合设计平台是双方成功合作的关键组成部分,为台积电 3nm 先进制程技术提供全面的全制程设计收敛和关闭签核。解决方案。Synopsys Fusion Compiler? 和 IC Compiler? ll Place and route 产品已实现通过全新的全局详细布线技术创新,优化结果序列质量(QoR)。全流程、总功耗优化和合理布局优化并行技术,让开发者在同时...

双方在3nm工艺节点下的合作还包括其他实现技术的部署,包括支持f或颜色标记和过孔柱高级布线,以及支持高性能和低功耗设计优化的创新触发器。此外,作为Fusion Design Platform的关键组件,Design Compiler? NXT集成产品也加强了合作,通过与IC Compiler II Process更紧密的时序关联,提供更加集成的设计,从而N3 工艺的所有设计都可以受益。

Synopsys 与台积电在 3 纳米技术领域的合作还包括 PrimeTime? 对低电压变化的支持以及对台积电布局规则的支持,以实现收敛期间的 ECO 收敛实施和签署期。 Synopsys 的 PrimePower 支持 3nm 功率签核物理规则,包括泄漏和动态功率,以及 StarRC? 提取建模增强功能,以提供所需的精度。

台积电3nm技术认证的sign-off方案还包括NanoTime定制时序sign-off、ESP定制等效验证和QuickCap?NX寄生参数场求解器方案。 Synopsys 的 IC Validator? 物理签核已得到增强,以支持所有高级工艺要求,包括用于增加密度的新虚拟填充功能、电路布局的 LVS 验证以及对 DRC 类型增量电压规则的增强调试效率。

自定义编译器设计和布局解决方案是新思科技定制设计平台的一部分,可以为使用台积电先进工艺技术的开发人员提供更高的生产力。 Custom Compiler 的许多增强功能已经得到 Synopsys 的 DesignWare IP 团队和其他早期 3 纳米用户的验证,可以减少满足 3 纳米技术要求所需的工作量。 Synopsys PrimeSimHSPICE?、PrimeSim? SPICE、PrimeSim?Pro 和 PrimeSim?XA 模拟器是不可或缺的PrimeSim? Continuum 解决方案的一部分,它缩短了台积电 3nm 设计的迭代时间,并且可以为电路仿真和可靠性要求提供签核。

Synopsys 数字设计事业部总经理 Shankar Krishnamoorthy 表示:“整个行业生态系统和我们的客户都将受益于台积电与 Synopsys 的密切合作,成功突破限制并加速采用新工艺技术。我们最新的3纳米技术数字化和定制化研发合作,将技术创新提升到一个新的水平,以克服先进工艺带来的挑战,及时为共同客户提供先进的新产品。机会。”

新思科技关于3nm工艺技术的技术文档可以通过台积电获得。?要查看新思科技通过台积电认证的数字化和定制化平台解决方案的完整列表,请访问:。

关于Synopsys

Synopsys, Inc.(纳斯达克股票代码:SNPS)它是软件的硅( “芯片到软件”)是许多创新公司的合作伙伴,致力于开发我们每天依赖的电子产品和软件应用程序。作为标准普尔 500 指数公司,Synopsys 长期以来一直是电子设计自动化 (EDA) 和半导体领域的全球领导者IP,并提供业界最广泛的应用安全测试工具和服务组合。无论您是创建先进半导体的 SoC(芯片系统)设计师,还是编写更安全、质量更高的代码的软件开发人员,Synopsys 都能够提供创新产品所需的解决方案。有关更多信息,请访问。

文章来源:《电子设计工程》 网址: http://www.dzsjgc.cn/zonghexinwen/2021/0626/1417.html



上一篇:国产EDA第一股来了!我国现存芯片设计企业近1
下一篇:如何阻抗匹配,电子工程师需要搞懂的内容

电子设计工程投稿 | 电子设计工程编辑部| 电子设计工程版面费 | 电子设计工程论文发表 | 电子设计工程最新目录
Copyright © 2018 《电子设计工程》杂志社 版权所有
投稿电话: 投稿邮箱: